Chapter 5 Other Generators

We mentioned the possibility to bypass Hugo and use your own building method in Section D.9. Basically you have to build the site using blogdown::build_site(method = "custom"), and provide your own building script /R/build.R. In this chapter, we show you how to work with other popular static site generators like Jekyll and Hexo. Besides these static site generators written in other languages, there is actually a simple site generator written in R provided in the rmarkdown package (Allaire et al. 2020), and we will introduce it in Section 5.3.

References

Allaire, JJ, Yihui Xie, Jonathan McPherson, Javier Luraschi, Kevin Ushey, Aron Atkins, Hadley Wickham, Joe Cheng, Winston Chang, and Richard Iannone. 2020. Rmarkdown: Dynamic Documents for R. https://github.com/rstudio/rmarkdown.